CIRCT  19.0.0git
Classes | Namespaces | Functions
ImportVerilog.h File Reference
#include "circt/Support/LLVM.h"
#include <optional>
#include <string>
Include dependency graph for ImportVerilog.h:
This graph shows which files directly or indirectly include this file:

Go to the source code of this file.

Classes

struct  circt::ImportVerilogOptions
 Options that control how Verilog input files are parsed and processed. More...
 

Namespaces

 llvm
 
 mlir
 
 circt
 The InstanceGraph op interface, see InstanceGraphInterface.td for more details.
 

Functions

mlir::LogicalResult circt::importVerilog (llvm::SourceMgr &sourceMgr, mlir::MLIRContext *context, mlir::TimingScope &ts, mlir::ModuleOp module, const ImportVerilogOptions *options=nullptr)
 Parse files in a source manager as Verilog source code and populate the given MLIR module with corresponding ops. More...
 
mlir::LogicalResult circt::preprocessVerilog (llvm::SourceMgr &sourceMgr, mlir::MLIRContext *context, mlir::TimingScope &ts, llvm::raw_ostream &os, const ImportVerilogOptions *options=nullptr)
 Run the files in a source manager through Slang's Verilog preprocessor and emit the result to the given output stream. More...
 
void circt::registerFromVerilogTranslation ()
 Register the import-verilog MLIR translation. More...
 
std::string circt::getSlangVersion ()
 Return a human-readable string describing the slang frontend version linked into CIRCT. More...